The next leap in semiconductors

Mouser Electronics
Wednesday, 18 September, 2024


The next leap in semiconductors

We haven’t yet reached 2025, the expected arrival year of the 2nm process node, but we're already talking about the 1.4nm node.

That’s what the semiconductor industry does — it prepares for the next revolution years in advance.

And so, designers straddle the need for miniaturisation and efficiency, as always. But designers are not simply working to reduce the size of our electronics; designers are trying to surpass the limits of technology, and this requires smaller process nodes. It’s not a trend as much as it is Moore’s Law fulfilled. As we try to make sense of these technological advancements, the semiconductor industry is on the brink of a significant milestone.

The 1.4nm process node is expected to launch in 2027, promising a new age of semiconductor technology signified by excellent levels of transistor density, energy efficiency, and performance. The 1.4nm node is the next phase in miniaturisation that will redefine the constraints of computing power, opening the door to AI and quantum computing advancements.

Bringing the node to life requires advanced manufacturing technology, like the US$400 million Extreme Ultraviolet (EUV) lithography machines developed by ASML.1 These machines allow for the fine levels of precision needed to manufacture these process nodes and move us deeper into semiconductor evolution. Let’s take a look at how that evolution will play out and some of the implications of this leap in semiconductor technology.

The Role of EUV Technologies in Semiconductor Manufacturing

EUV lithography brings miniaturisation to life. The processes conducted by these machines incorporate short wavelengths of light — much shorter than those used in traditional lithography — to etch fine patterns onto silicon wafers. Transitioning to EUV lithography has driven the miniaturisation trend and allowed companies to pack billions more transistors into single chips. This was a more challenging task with previous Deep Ultraviolet (DUV) lithography technologies.

As mentioned earlier, though, these machines aren’t cheap. Recently, Intel announced its heavy investment in High-NA EUV lithography machines from ASML,2 a move that shows off its pursuit of chips with cutting-edge 1.4nm process nodes. Intel recognises these machines’ role in advancing chip manufacturing and is preparing to make them a part of their strategy.

The Development of Transistor Density

When the integrated circuit was created in the 1950s, it housed just a handful of transistors. Today, chips contain billions of these units, forcing a transition from the microscale to the nanoscale, as well as some new manufacturing capabilities and theoretical understanding. The evolution continued from the 1950s to the 1970s with the 10 micrometre processes and continued up to the sub-10 nanometre processes in recent years. Each time designers reduce transistor size, we see a corresponding surge in computing power, efficiency, and complexity of electronic devices.

Transitioning to the 1.4nm process node will be the most significant leap in transistor density and chip performance to date. It means an unheard-of number of transistors packed onto a chip, but it also presents some challenges in materials science and fabrication techniques. To overcome these challenges, the industry must innovate how semiconductors are manufactured, including lithography advancements and new materials that can manage heat and behaviour at quantum levels.

Our current understanding of transistor density needs to be adjusted. It’s not about the number of transistors on a unit but perhaps the number of transistors per footprint when considering 3D volume. Applications such as virtual reality (VR), augmented reality (AR), and autonomous vehicles are examples of how crucial specialised transistor applications are, as they rely on advanced semiconductor systems powered by a complex web of transistors.

This shift exemplifies a rebirth in transistor invention, where the challenge lies not just in making transistors smaller, lighter, and cheaper, but in co-designing hardware and software to model the world more effectively. As designers approach the anticipated 1.4nm process node and look beyond, the semiconductor industry inevitably will transform, where system-centric chipset designs enabled by advanced transistors could redefine our capabilities.

Intel Leads the Charge

Currently, Intel uses EUV technology across three fabrication plants: Intel 4, Intel 3, and Intel 20A. These facilities contribute to approximately 15 percent of the company's total wafer output by volume. Despite the dominance of DUV lithography in its Intel® 7 process, the company anticipates a shift towards EUV-based nodes soon.3 Intel is taking a proactive approach to meeting the demand for semiconductors, especially with the growing importance of AI accelerators.

To adapt to this expected demand surge, Intel is not just focusing on transitioning to more advanced lithography techniques but also expanding its manufacturing capacity. The company is placing a strong priority on advanced packaging techniques, too.4

Beyond 1.4nm

Yes, Intel’s already thinking past 2027. Because, as the industry approaches the 1.4nm process node, it also nears the physical and technical limits of silicon-based technology. While silicon is the backbone of electronics, it’s at risk. It encounters challenges like quantum tunnelling and heat dissipation that are problematic at smaller scales. This complicates things as the industry aims to miniaturise further, all while threatening the efficiency and reliability of chips. These hurdles call for alternative materials to help carry on Moore's Law.

The good news is that the industry is already working on it, as it usually does. Emerging tech such as two-dimensional materials, like graphene and transition metal dichalcogenides, are ready to become potential successors to EUV lithography due to their electrical thermal and mechanical properties at atomic thicknesses.

Also, quantum dots hold the potential for advances in photonics and quantum computing, offering new ways to process and store information. In addition, advanced packaging technologies such as 3D integrated circuit (IC) offer solutions to combine multiple chiplets or dies into a single package to enhance performance and functionality without shrinking components.

What Do Advancements Beyond 1.4nm Mean?

If, and when, we do move past 1.4nm, we open up new possibilities to transform computing. AI systems become more powerful and capable of complex reasoning while consuming less energy. Quantum computing, a beneficiary of quantum dots and quantum materials advancements, becomes more practical and can even solve problems that remain difficult for classical computers. Ultimately, if we move toward new materials and solutions, we can sustain the pace of miniaturisation while improving energy efficiency.

Conclusion

The journey beyond the 1.4nm node is not about how much designers can shrink electronics, but a mission to redefine the makeup of computing technology. The promise of the 1.4nm node by 2027 brings about much excitement, but not because of what it can do now, rather because of the stepping stone it represents. With companies like Intel investing in cutting-edge EUV lithography and advanced packaging technologies, the industry is destined for a transformation. As we approach and look beyond 2027, the anticipation for the 1.4nm node promises to bring in new realms of AI and quantum computing, powered by unprecedented efficiency and processing power.

Mouser Electronics, founded in 1964, is a globally authorised distributor of semiconductors and electronic components for over 1,200 industry-leading manufacturer brands. This year marks the company’s 60th anniversary. We specialise in the rapid introduction of the newest products and technologies targeting the design engineer and buyer communities. Mouser has 28 offices located around the globe. We conduct business in 23 different languages and 34 currencies. Our global distribution centre is equipped with state-of-the-art wireless warehouse management systems that enable us to process orders 24/7, and deliver nearly perfect pick-and-ship operations.

Sources:

[1] https://www.phonearena.com/news/intel-video-shows-installation-of-new-lithography-machine_id155941
[2] https://www.datacenterdynamics.com/en/news/intel-acquires-asmls-entire-2024-stock-of-high-na-euv-machines/#:~:text=According%20to%20a%20report%20in,unit%20costs%20approximately%20%24370%20million
[3] https://www.globalsmt.net/advanced-packaging/intel-reaches-3nm-milestone/
[4] https://www.intel.com/content/www/us/en/newsroom/news/foundry-news-roadmaps-updates.html#gs.e94taz

Image credit: iStock.com/Kaikoro

Related Sponsored Contents

Small DC drive systems revolutionising healthcare

Small DC drive systems are driving innovation in modern healthcare, offering compact precision...

Partnership for True Multiple Sourcing: Semikron Danfoss Power Modules with ROHM IGBTs

Semikron Danfoss has added ROHM Semiconductor's 1200V RGA IGBT to its low power module...

HVAC systems based on SIMCom IoT modules

Improved performance and speed of operation.


  • All content Copyright © 2024 Westwick-Farrow Pty Ltd